2 Queries please

Joined
Sep 25, 2008
Messages
1
Reaction score
0
Hi all
I am completely new to the VHDL
I use modelsim SE6.4 revision 2008.06

I have 2 queries please
First - When I start simulation of the entity and architecture below - some times the signals my_in1 ,... I see them on the object menu ready to be copied to the waveform and sometimes I dont see them (I am sure that I m doing something wrong sometimes)

Can you suggest me a test bench (that changes the clock) for the circuit I below?

Thx

entity mult_add is
Port (
In1: in std_logic_vector(7 downto 0) := "00001100";--to test
In2: in std_logic_vector(7 downto 0) := "00001100";
In3: in std_logic_vector(7 downto 0) := "00000001";
CLK: in std_logic;
RESULT: out std_logic_vector(15 downto 0)
);
end mult_add;
architecture mydesign of mult_add is

signal temp: std_logic_vector (15 downto 0) := "0000000000000000";
constant delay :time := 2 ns;
signal my_in1, my_in2, my_in3 : std_logic_vector (7 downto 0) := "00000000";

begin

mul: process (clk) is
begin
if (CLK'event and EN = '1') then
my_in1 <= In1;
my_in2 <= In2;
my_in3 <= In3;
temp <= my_in1 * my_in2 after 3 ns;
end if;
end process mul;
end architecture mydesign;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,048
Latest member
verona

Latest Threads

Top