32 bit floating point multiplier

A

anil

hello,

iam a student of bachelor of engineering,
iam working on the testing of single precision multiplier
using simuTAG (using JTAG interface).can anybody help
me out in finding the VHDL CODE for 32 bit floating point
multiplier.

--ANIL
 
M

Mike Treseler

anil said:
hello,

iam a student of bachelor of engineering,
iam working on the testing of single precision multiplier
using simuTAG (using JTAG interface).can anybody help
me out in finding the VHDL CODE for 32 bit floating point
multiplier.

Consider using an unsigned multiply.
Writing a floating point multiplier
would be a lot of work.

-- Mike Treseler

__________________________________________________________
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity mult32 is
-- Sun Jan 28 08:11:41 2007 M.Treseler
generic (vec_len : natural := 32);
port (
reset : in std_ulogic;
clock : in std_ulogic;
a : in unsigned(vec_len-1 downto 0);
b : in unsigned(vec_len-1 downto 0);
c : out unsigned(2*vec_len-1 downto 0)
);
end mult32;
-------------------------------------------------------------------------------
architecture synth of mult32 is
begin
m32x32 : process (reset, clock) is
variable c_v : unsigned(2*vec_len-1 downto 0);
begin
if reset = '1' then
init_regs : c_v := (others => '0');
elsif rising_edge(clock) then
update_regs : c_v := a*b;
end if;
update_ports : c <= c_v;
end process m32x32;
end architecture synth;
 
H

HT-Lab

Mike Treseler said:
Consider using an unsigned multiply.
Writing a floating point multiplier
would be a lot of work.

No, this is not a complicated assignment since a FP multiplier is nothing
more than a standard multiplier with some exponent and rounding logic. A bit
of googling will answer all his questions :)

Hans
www.ht-lab.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top