8bit register with ALU computation

Joined
Jul 30, 2009
Messages
1
Reaction score
0
Register_ALU:
• the design will contain 8 8-bit registers which can be loaded from an external input;
• the design will contain a block of logic which will have two 8-bit inputs A[7..0] and B[7..0], and will produce one 8-bit output C[7..0];
• the output will be formed as
o A and B, or 00
o A or B, or 01
o A plus B, or 10
o A minus B; 11
• the operation {logical and, logical or, arithmetic plus, arithmetic minus} will be selected by an external input to the design, called Op_Code[1..0];
• the output C[7..0] can be
o loaded back into one of the eight internal registers, or
o read externally.

i've ran into some problem with the above statement
i've created 8 8bit registers with a 3bit multiplexer which i can select which registers i want my input to store into

but now how do i load the output back to the input registers?

thanks in advance
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

Alu 1
ALU code ??? 6
Four Bit Adder Help For ALU 4
Simple ALU Implementation 0
Simple ALU Implementation 3
DESIGN AND IMPLEMENTATION OF A 4 BIT ALU 12
8bit counter to 7seg 5
need help with ALU 8 BIT 0

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,022
Latest member
MaybelleMa

Latest Threads

Top