A VHDL port map question.

Joined
Nov 4, 2006
Messages
1
Reaction score
0
Here is the problem.
I'm design a 32 bits ALU using structure. and our professor want us to break the 32 bits ALU into 8 of 4 bit ALU pieceses. and every 4 bit ALU structure only take 4 bit vector's input with both A and B.
so at end, The input is 32 bits for both input A and B.
so my port map will be like following

four_bit_ALU port map(A=>A(3:0), B=>B(3:0).........)

where A is 4 bits vector input for the 4 bit ALU structor,
A(3:0) is where I tried to get the first 4 bits of my 32 bit inputs
however, it doesn't work out. Does anyone know how to do that?

or does anyone know how to take the 32 bits input and break it down to eight 4 bits signals, which it will map to my 4 bit ALU.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads

structural VHDL 0
2 JK Circuit in VHDL 0
Can anyone please help me in this code 1
Question about port map? 3
pls help me ; vhdl; 0
using FIFO in vhdl 2
Array VHDL 3
VHDL project 4

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top