About fsdb Dump using ncvhdl

Y

ytfilter

Hi,

I'm a newer in VHDL and trying to dump the waveform using ncvhdl but
not work.
The simulation is passes but no fsdb file created.
The source is as follows and hope someone help me to check if there
any wrong.

===========================================================
library ieee;
use ieee.std_logic_1164.all;

use work.novas.all;

entity test is
generic (
clkperiod : integer := 20
);
end;

architecture behav of test is

constant ct : integer := clkperiod/2;
signal clk : std_logic := '0';

begin

clk <= not clk after ct * 1 ns;

process
begin
fsdbDumpfile("test.fsdb");
fsdbDumpvars(0, ":");
wait;
end process;

end;
===========================================================



Thanks.
Andrew
 
J

JK

Hi,

I'm a newer in VHDL and trying to dump the waveform using ncvhdl but
not work.
The simulation is passes but no fsdb file created.
The source is as follows and hope someone help me to check if there
any wrong.

Are you compiling novas.vhd into work library?
Please check that correct environment variables are set and you are
loading novas_fli into simulator.

For modelsim,
we have to set environment variable: setenv PLIOBJS
"<debussy_inst_dir>/share/PLI/modelsim_fli61/LINUX/novas_fli.so"
while compiling rtl, compile : vcom -explicit -2002 -work work
"<debussy_inst_dir>/share/PLI/modelsim_fli61/LINUX/novas.vhd"

May be the similar case with ncvhdl. Please check.

Regards,
JK
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,754
Messages
2,569,522
Members
44,995
Latest member
PinupduzSap

Latest Threads

Top