access internal signal on top level in VHDL

A

anupam

hi,
i want to force a value or read a value of an internal signal in VHDL .
That is possible with signal spy in model sim but i want to use ncsim .
Is it possible with ncsim without using any other language's interface
(like c or tcl)??
please suggest
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,042
Latest member
icassiem

Latest Threads

Top