Access Type Unsupported ISE6.2.03i

B

Benjamin Todd

I've a problem using the image_pb.vhd package from vhdlcohen... I think it's
a problem to do with Xilinx ISE...

for example:

function Image(In_Image : Integer) return String is
variable L : Line; -- access type
variable W : String(1 to 32) := (others => ' ');
-- Long enough to hold a time string
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;

fails a syntax check for the reason: "/image_pb.vhd Line 97. Access Type
unsupported."
this happens at every point where the ".all" is used - I never had this
problem before: Anyone any suggestions? It's most likely something
completely simple that's staring me in the face!

Thanks in advance.
Ben
 
L

Laurent Gauch

Benjamin said:
I've a problem using the image_pb.vhd package from vhdlcohen... I think it's
a problem to do with Xilinx ISE...

for example:

function Image(In_Image : Integer) return String is
variable L : Line; -- access type
variable W : String(1 to 32) := (others => ' ');
-- Long enough to hold a time string
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;

fails a syntax check for the reason: "/image_pb.vhd Line 97. Access Type
unsupported."
this happens at every point where the ".all" is used - I never had this
problem before: Anyone any suggestions? It's most likely something
completely simple that's staring me in the face!

Thanks in advance.
Ben
Your code is for simulation only -> Xilinx XST synthesizer can do
nothing with Std.TextIO package !

Laurent
www.amontec.com
 
M

Mike Treseler

Benjamin said:
No, I'm doing a syntax check, not a synthesis.

It's for a testbench. =)
Consider using modelsim "vcom"
for a syntax check.

-- Mike Treseler
 
C

Charles M. Elias

Benjamin Todd said:
No, I'm doing a syntax check, not a synthesis.

It's for a testbench. =)

If the type is not supported for synthesis then it is very likely it
will not pass a syntax test for a synthesis tool. The alternative
would be for the synthesis tool to pass unsynthesizable, but correct,
VHDL code and then give you an error message when you tried to
synthesize it. You should run the syntax test on your simulation
tool, after all, that is where you will be using your test bench.

Charles
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,015
Latest member
AmbrosePal

Latest Threads

Top