Accessing signals through strings

J

john.williamson

Hello,

Does anyone know how to access a signals' value by referencing that
signal name though a string?

e.g. I am reading in my signal names from a file.

I then wish to print back out to a file the corresponding signal
values.

Hope that is clear.

Regards
John
 
J

Jonathan Bromley

Does anyone know how to access a signals' value by referencing that
signal name though a string?

Not in VHDL, unless you write a very frightening "case"
statement.

If you are using ModelSim, you should check out the
"SignalSpy" tool. Otherwise, it's something that you
could do through the simulator's C-language interface.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
(e-mail address removed)
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,042
Latest member
icassiem

Latest Threads

Top