ADC and DAC Converters VHDL model

X

Xabier Iturbe

Iam designing a system that includes an ADC and DAC Converters. In
order to simulate it, I need the models of the converters, if it would
be possible in VHDL lenguaje. Thank you.

_______________________________________________________________________

Xabier Iturbe
Area de Electrónica
IKERLAN
Pº J. M. Arizmendiarrieta, 2
20500 Mondragón (Gipuzkoa)
Tel.: +34 943 71 24 00 Fax: +34 943 79 69 44
E-mail: (e-mail address removed) http: www.ikerlan.es
IK4 Research Alliance
_______________________________________________________________________
 
A

Ajeetha

It is possible to model ADC/DAC in VHDL. It has real data type and
necessary procedural constructs for it. However if you want to model
pure analog things like oscialltor, jitter etc. it gets tricky. An
approximate model say using "successive approximation" algorithm is
doable in VHDL. I've done it in the past for a customer of mine, sorry
can't share the source code.

HTH
Ajeetha, CVC
www.noveldv.com
 
M

Mike Treseler

Xabier said:
Iam designing a system that includes an ADC and DAC Converters. In
order to simulate it, I need the models of the converters, if it would
be possible in VHDL lenguaje. Thank you.

I would write a simple, but cycle-accurate model
of the digital interfaces and use an integer port
to represent the analog pin. Note that some
vendors may have already done this for you.

-- Mike Treseler
 
P

Paul Floyd

Iam designing a system that includes an ADC and DAC Converters. In
order to simulate it, I need the models of the converters, if it would
be possible in VHDL lenguaje. Thank you.

Depending on the analog content, this might be suitable for VHDL-AMS.

A+
Paul
 
X

Xabier Iturbe

Thank you a lot. It is a wonderful help !!!!


_______________________________________________________________________

Xabier Iturbe
Area de Electrónica
IKERLAN
Pº J. M. Arizmendiarrieta, 2
20500 Mondragón (Gipuzkoa)
Tel.: +34 943 71 24 00 Fax: +34 943 79 69 44
E-mail: (e-mail address removed) http: www.ikerlan.es
IK4 Research Alliance
_______________________________________________________________________
 
Joined
May 16, 2008
Messages
1
Reaction score
0
FMF said:
There are some behavioral models of ADCs and DACs at
http://www.freemodelfoundry.com/converters_vhdl.php. They use reals for
the analog ports.


Xabier Iturbe wrote:
> Iam designing a system that includes an ADC and DAC Converters. In
> order to simulate it, I need the models of the converters, if it would
> be possible in VHDL lenguaje. Thank you.
>
> _______________________________________________________________________
>
> Xabier Iturbe
> Area de Electrónica
> IKERLAN
> Pº J. M. Arizmendiarrieta, 2
> 20500 Mondragón (Gipuzkoa)
> Tel.: +34 943 71 24 00 Fax: +34 943 79 69 44
> E-mail: (e-mail address removed) http: www.ikerlan.es
> IK4 Research Alliance
> _______________________________________________________________________
>
Does the vhdl code from "http://www.freemodelfoundry.com/converters_vhdl.php" work still. because the ieee vital standard no longer recommends the usage of real datatype in the entity part of the declaration.

Please do clarify me with this.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,731
Messages
2,569,432
Members
44,832
Latest member
GlennSmall

Latest Threads

Top