Altera Quartus, libraries and mixed VHDL / (SYSTEM)VERILOG error

P

Peter Bluer

I'm trying to run a design through Quartus II which is a mixture of VHDL,
Verilog and system verilog.

I can compile it for modelsim outside of quartus and it simulates fine,
however I get errors when running through the quartus mapper.

In quartus I add files into a library called 'design', an example of this
is:

set_global_assignment -name SYSTEMVERILOG_FILE -library design
$SV_PATH/counter.sv

These files are found by quartus:

Info: Found 1 design units, including 1 entities, in source file counter.sv
Info: Found entity 1: counter

If I check the file list the files are specified to be in the library
'design'.

However, when I run the mapper I get this error:

Error (10481): VHDL Use Clause error at mydesign.vhd(446): design library
"design" does not contain primary unit "counter" File: mydesign.vhd Line:
446

The VHDL file mydesign.vhd snippet with this block in is:

LIBRARY design:
CONFIGURATION DUMMY of top IS
FOR struct
For counter_c : counter
USE ENTITY design.counter(counter); <---- Line 446
END FOR;
..
..
..
END CONFIGURATION DUMMY;

Can anyone tell from this what may be causing the error?

Thank you.
 
M

Mike Treseler

Peter said:
Error (10481): VHDL Use Clause error at mydesign.vhd(446): design
library "design" does not contain primary unit "counter" File:
mydesign.vhd Line: 446

The VHDL file mydesign.vhd snippet with this block in is:

LIBRARY design:
^
This is a syntax error. There is no library declaration in vhdl.
CONFIGURATION DUMMY of top IS
FOR struct
For counter_c : counter
USE ENTITY design.counter(counter); <---- Line 446

USE ENTITY work.counter(counter); -- try this instead.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,756
Messages
2,569,535
Members
45,008
Latest member
obedient dusk

Latest Threads

Top