AMS

H

Hosszu Gabor

Hello,

Could you give me any information about the current state of the VHDL-AMS?
Is it used in practice, or it's in the evaluation period, yet?

Thax

Gábor
 
P

Paul Floyd

Hello,

Could you give me any information about the current state of the VHDL-AMS?=
=20
Is it used in practice, or it's in the evaluation period, yet?

Hi

Well, I can say that at least Mentor Graphics has customers that use
VHDL-AMS. Unlike digital VHDL, VHDL-AMS is simulation only, at least for
the foreseeable future.

A bientot
Paul
(Not speaking for Mentor Graphics)
 
S

sameerkher

Hello,

Could you give me any information about the current state of the VHDL-AMS?
Is it used in practice, or it's in the evaluation period, yet?

Thax

Gábor

Google VHDL-AMS to get results. http://www.eda.org/vhdl-ams/ is a good
starting point to get an idea about the state of the language. The
language definition has been around since 1999 - it is definitely not
in any evaluation period. There are currently several simulators that
support VHDL-AMS that are quite stable - Simplorer, Saber,
SystemVision, SMASH, to name a few.
 
P

Paul Floyd

Google VHDL-AMS to get results. http://www.eda.org/vhdl-ams/ is a good
starting point to get an idea about the state of the language. The
language definition has been around since 1999 - it is definitely not
in any evaluation period. There are currently several simulators that
support VHDL-AMS that are quite stable - Simplorer, Saber,
SystemVision, SMASH, to name a few.

Ahem. Not forgetting ADVance-MS, of course.

Paul
(Not speaking for Mentor Graphics).
 
M

moogyd

Hello,

Could you give me any information about the current state of the VHDL-AMS?
Is it used in practice, or it's in the evaluation period, yet?

Thax

Gábor

We are extensively using VHDL-AMS within our organization for complex
mixed signal system simulations.

FYI, we are using Cadence.

Steven
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top