[Announce] Jan on HDL Design

J

Jan Decaluwe

Hello:

Today I am announcing a series of blog posts about my
views on HDL Design, hosted on the Sigasi website.

If you are interested, here is the page:
http://www.sigasi.com/JanHDL
and the RSS feed:
http://www.sigasi.com/taxonomy/term/52/0/feed

Jan

--
Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com
Python as a HDL: http://www.myhdl.org
VHDL development, the modern way: http://www.sigasi.com
Analog design automation: http://www.mephisto-da.com
World-class digital design: http://www.easics.com
 
R

rickman

I tried creating a new account, but it tells me "The CAPTCHA field is
required." and no captcha field is visible. Did someone forget
something on this page?

Rick
 
J

Jason Zheng

The captcha iss clearly visible in my browser. I'm using firefox 3.5.5
with adblock plus enabled. It's immediately below the phrase "Word
verification".

~Zheng
 
G

gabor

Hello:

Today I am announcing a series of blog posts about my
views on HDL Design, hosted on the Sigasi website.

If you are interested, here is the page:
   http://www.sigasi.com/JanHDL
and the RSS feed:
   http://www.sigasi.com/taxonomy/term/52/0/feed

Jan

--
Jan Decaluwe - Resources bvba -http://www.jandecaluwe.com
   Python as a HDL:http://www.myhdl.org
   VHDL development, the modern way:http://www.sigasi.com
   Analog design automation:http://www.mephisto-da.com
   World-class digital design:http://www.easics.com

O.K., since you posted this to comp.lang.verilog I have to
ask if Sigasi HDT will be supporting Verilog?

Regards,
Gabor
 
J

Jan Decaluwe

gabor said:
O.K., since you posted this to comp.lang.verilog I have to
ask if Sigasi HDT will be supporting Verilog?

There are plans (of course), but not yet a schedule.

Hopefully, this blog will make the site more useful for
everyone interested in the concepts behind HDL design.
For the most part, these are language neutral. For Sigasi,
this is the public they like to interact with to steer
future developments.

Regards,

Jan

--
Jan Decaluwe - Resources bvba - http://www.jandecaluwe.com
Python as a HDL: http://www.myhdl.org
VHDL development, the modern way: http://www.sigasi.com
Analog design automation: http://www.mephisto-da.com
World-class digital design: http://www.easics.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top