Another pointer question

T

Tricky

If I point to some basic type, how can I set the value at the pointer?

eg:

type I_ptr is access integer;
..
..
..
variable A : I_ptr
...
A := new integer;

A := 10; --does not work - complains that A is not an integer (rightly
so);

same with any new types that are discrete

type A_t;
type A_ptr is access A_t;

type A_t is (X, Y, Z);
...
variable S : A_ptr;
...
S := new A_t;

S := X; --same problem as with integer;

Am I, as usually, trying to go outside the scope of VHDL?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top