Arithmetic Libraries

A

ALuPin

Hello everybody,

can someone tell me what is the difference between
the libraries
ieee.std_logic_arith.all and
ieee.numeric_std.all ?

I am using Altera QuartusII software. Is one of the two libraries
"more recommendable" ?

Thank you in advance.
 
R

Ralf Hildebrandt

ALuPin wrote:

can someone tell me what is the difference between
the libraries
ieee.std_logic_arith.all and
ieee.numeric_std.all ?

std_logic_arith is implemented different on different simulation and
synthesis tools. Therefore it's recommended to use it.

numeric_std has not these problems. But it is a newer library. If you
share designs with other companies, that use *really old* tools, you may
get problems.

-> Take numeric_std.

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top