array-cam-compile problem

Joined
Jul 26, 2007
Messages
2
Reaction score
0
Aloha!
Sorry for my english!

I want to create a cam memory in vhdl.
I found a code that might helps but i have problem to compile this code in modelsim.

The Error message is the following:
"Unknown identifier: ram_array"

Do i need in modelsim extra parameters (couse of array) to compile the code?

Also Ive never used arrays in vhdl and I dont know much about it.If anybody could guide my I would appreciate it.

Here is a part of that code that troubles me
architecture cam256_a of cam256 is
type ram_arry is array (0 to 255) of std_logic_vector(7 downto 0);
signal tag :ram_array;
signal data :ram_array;
signal count: integer range 0 to 255;
begin
.....
end mcam
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,535
Members
45,007
Latest member
obedient dusk

Latest Threads

Top