array of STD_LOGIC to STD_LOGIC_VECTOR

Joined
Feb 12, 2009
Messages
1
Reaction score
0
Hi,
I would like to know if there is a way to put a signal STD_LOGIC_VECTOR in an array of STD_LOGIC ??

Ex :

type X is array(7 downto 0) of STD_LOGIC;
signal Y : X;

signal A : STD_LOGIC_VECTOR(7 downto 0);

...

A <= Y; -- ou bien Y <= A;

thank you
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
Not clue why you're defining your own std_logic_vector equivalent, but in VHDL the types are incompatible. You will have to assign element-wise like this,

copy: for i in X'range generate
A(i) <= Y(i);
Y(i) <= B(i);
end generate copy;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,012
Latest member
RoxanneDzm

Latest Threads

Top