array problems

Joined
Feb 7, 2009
Messages
2
Reaction score
0
Does anyone know why this isn't working:
Code:
init_mem:process(init)
        begin
            if(init='1') then mem_array(0)<=init_data;
            end if;
        end process;
, but this does:
Code:
init_mem:process(init)
        begin
            if(init='1') then pom<=init_data;
            end if;
        end process;
Btw mem_array is type array (integer range <>) OF std_logic_vector(15 downto 0), and init_data and pom are both std_logic_vector(15 downto 0).
Init is std_logic.
Thanks
 
Joined
Feb 7, 2009
Messages
2
Reaction score
0
Tried that, but still not working.
I just can't understand how come it won't write in an array but it will write in another variable. :dontknow:
But thanks anyway.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,766
Messages
2,569,569
Members
45,042
Latest member
icassiem

Latest Threads

Top