ASIC RTL and FPGA RTL

A

Anand P Paralkar

Hi,

I was talking to an "expert" in synthesis and he mentioned that there is
a lot of difference between a synthesizable RTL code for a FPGA and a
synthesizable RTL code for an ASIC.

Is this true?

If so, could you please point the significant differences between the
two and what causes these differences.

Thanks,
Anand
 
Joined
Nov 7, 2016
Messages
1
Reaction score
0
Helo
Can anybody please guide me the relation between embedded RAM blocks and CLB Slices of Virtex 7 FPGA????
I want to compare the area in the form of CLB Slices and i am using 30 Embedded RAM Blocks of Virtex 7 FPGA.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top