ask for help :simulation problem

S

smilebamboo

I am using XILINX ISE 6.1 and Modelsim_xe for simulation. when I run the
simulation I get follwoing warning.

Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the
result will be 'X'(es).

Now when I see the WAVE window I get 'Z' in every signal. How do I find
out what is creating the problem.

Thanks for any help.




smilebamboo
 
A

anupam

Hi S,
It seems that u hv not initialised the all signal , variables or ports.
With this error u will be getting the time also at which the error is
generated. U can check the signal at that time in your sim window.
further if u r using async reset , then pl assign a value to each n every
signal, var or o/p in that particular process at reset.
Hope that it will be helpfull.
Regards
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,767
Messages
2,569,572
Members
45,045
Latest member
DRCM

Latest Threads

Top