assign statement behaviour in diff simulators

A

adarsh arora

I have used NCSim,modelsim,vcs simulators for running the following
continous assign statements:
assign #(rise,fall) b = a;
i found very different beh. in vcs simulator(by Synopsys) from
modelsim and ncsim(Verilog-XL) behaviour.
What should be actual beh. of the above statement.
Shall the new value(event) of a should override its previous scheduled
assignment or something else.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,050
Latest member
AngelS122

Latest Threads

Top