assign statement verilog

Joined
Aug 18, 2006
Messages
7
Reaction score
0
Hello folks,
this is most prob a newb question, but in vhdl, i can assign values to vectors like,


valueout <= value1 when addr=addr2 else
value3 when addr=add3 else
value 4;

how can i do this same thing in verilog? i have searched everywhere, and i have found nonething to aid me
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,534
Members
45,008
Latest member
Rahul737

Latest Threads

Top