- Joined
- Jun 1, 2009
- Messages
- 3
- Reaction score
- 0
I just started to learn VHDL so kindly support me
I'm getting confused between how <= and := symbols actually work? I know that they have a major difference but I cant really make out as I'm from s/w background.
For example in the following code, when do :=, <= statements execute? Please don't stop at saying one is sequential and other is concurrent, that is where I'm not able to understand please explain more with small examples and other possibilities. I really learn fast with examples.
Also can you please explain to me whats happening in this code? I'm really lost.
******** Code****************
architecture twoproc of test is
signal r, rin : std_logic_vector(7 downto 0);
begin
combinational : process(load, count, d, r)
variable tmp : std_logic_vector(7 downto 0);
begin
if load = ’1’ then tmp := d;
elsif count = ’1’ then tmp := r + 1;
else tmp := r; end if;
rin <= tmp;
q <= r;
end process;
sequential : process(clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process;
end;
*******************************
Thank you all so very much!!!
I'm getting confused between how <= and := symbols actually work? I know that they have a major difference but I cant really make out as I'm from s/w background.
For example in the following code, when do :=, <= statements execute? Please don't stop at saying one is sequential and other is concurrent, that is where I'm not able to understand please explain more with small examples and other possibilities. I really learn fast with examples.
Also can you please explain to me whats happening in this code? I'm really lost.
******** Code****************
architecture twoproc of test is
signal r, rin : std_logic_vector(7 downto 0);
begin
combinational : process(load, count, d, r)
variable tmp : std_logic_vector(7 downto 0);
begin
if load = ’1’ then tmp := d;
elsif count = ’1’ then tmp := r + 1;
else tmp := r; end if;
rin <= tmp;
q <= r;
end process;
sequential : process(clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process;
end;
*******************************
Thank you all so very much!!!