Bidirectional bus connection

Joined
Oct 16, 2012
Messages
1
Reaction score
0
Hello,
I have a I\O to my fpga which is inout signal 16 bit width (data bus from my flash). This bus is going internaly to another sub-modul and there it is connected to an inout port.
I want in the top-level to connect the inout port to an in port of a different sub-modul.
Meaning, to create a 'T' junction in the inout bus to an in port.
INOUT(internal sub-modul) -----------------INOUT (external I\O)
................................................|
................................................|
................................................|
.........................................IN (internal sub-modul)
I have tried to connect the inout port to another signal in the top level and then connect it to the in port, but my synthesis dosent like that.
I have tried to use IOBUF primitive but still it pops error message.
I use ISE 13.2 synthesis tool.

Thank you in advance.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,734
Messages
2,569,441
Members
44,832
Latest member
GlennSmall

Latest Threads

Top