bit vector to std_logic conversion query

P

pavithrashinde

i am looking for a function to covert bit vector to std_logic_vector.
i hope i can find help on the same soon.
 
R

Ralf Hildebrandt

i am looking for a function to covert bit vector to std_logic_vector.

There is the

function to_stdlogicvector ( b : bit_vector ) return std_logic_vector;

declared in std_logic_1164. For conversion problems look into your
libraries! You will most times find something useful.

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top