bits2real

V

vincedx

Hi, I'm writing a little component in vhdl and I need to use real
values in it. Since I can't synthesize a componet that has real ports,
I must convert a 64 std_logic_vector from and to real. I already found
a function real2bits, but I also need a sort of bits2real.

Anyone help?
 
M

Mike Treseler

Since I can't synthesize a componet that has real ports,
I must convert a 64 std_logic_vector from and to real. I already found
a function real2bits, but I also need a sort of bits2real.

A 64 bit signed or unsigned port may
do what you need using numeric_std functions.

-- Mike Treseler
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,769
Messages
2,569,579
Members
45,053
Latest member
BrodieSola

Latest Threads

Top