Block Commenting of VHDL code in Xilinx ISE 6.3i

V

vijay

Hi,

Is there a provision to Block Comment Code in Xilinx ISE 6.3i for VHDL.

For example if we want to comment a particular code we have to comment
each line with "--".Can we comment out more than one line of code?
For example in C we use /* */ for block commenting.

Thanks and Regards,
Vijay
 
T

Tim Hubberstey

vijay said:
Is there a provision to Block Comment Code in Xilinx ISE 6.3i for VHDL.

No. Block commenting is not supported in VHDL '87 or '93, not sure about
2001 but I don't think so. You typically write a macro in your editor of
choice to add '--' to all lines in a block.

You can add:

genlabel: if <some_test> generate
...
end generate;

around sections but the code inside the generate must still be valid VHDL.
 
A

Allan Herriman

No. Block commenting is not supported in VHDL '87 or '93, not sure about
2001 but I don't think so.

The 2001 comments are the same as the '93 or '87 ones.

Regards,
Allan
 
S

Srinivasan Venkataramanan

Hi Vijay,
VHDL language has no block comment feature. You need to add "--" to
every line. A good text editor should help you here - select a region of
code, press a key (or sequence of keys) and the selected portion shall be
commented. XEmacs with VHDL mode can do this for you (and uncomment as
well).

HTH,
Srinivasan

--
Srinivasan Venkataramanan
Co-Author: SystemVerilog Assertions Handbook, http://www.abv-sva.org
Co-Author: Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition.
http://www.noveldv.com
I own my words and not my employer, unless specifically mentioned
 
W

woko

VHDL language has no block comment feature. You need to add "--" to
every line. A good text editor should help you here - select a region of
code, press a key (or sequence of keys) and the selected portion shall be
commented. XEmacs with VHDL mode can do this for you (and uncomment as
well).

I did the same with Textpad which is shareware. I just recorded a
macro which types "--" and a linefeet and let it execute for the hole
selection. The comment is easy to remove with blockselection of
textpad when the comment is fixed to the start of the line with "Pos
1" key.

Wolfgang
 
M

Michael Schmid

Hi,
Is there a provision to Block Comment Code in Xilinx ISE 6.3i for
VHDL.

For example if we want to comment a particular code we have to comment
each line with "--".Can we comment out more than one line of code?
For example in C we use /* */ for block commenting.
Thanks and Regards,
Vijay

Use a more Advanced Editor!
I use UltraEdit with a VHDL Syntax File to uncomment several lines of code
with just 2 mouse clicks.
I guess there are tones of editors with that sort of futures.
But I havent found some "really groovy" vhdl ide yet myself.
 
J

Jim Lewis

vijay said:
Hi,

Is there a provision to Block Comment Code in Xilinx ISE 6.3i for VHDL.

For example if we want to comment a particular code we have to comment
each line with "--".Can we comment out more than one line of code?
For example in C we use /* */ for block commenting.

Thanks and Regards,
Vijay

Via ISAC resolution IR2045, /* */ are candidate block comment
characters for the next VHDL revision. See:

http://www.eda.org/isac/IRs-VHDL-2002/IR2045.txt


Cheers,
Jim
--
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
Jim Lewis
Director of Training mailto:[email protected]
SynthWorks Design Inc. http://www.SynthWorks.com
1-503-590-4787

Expert VHDL Training for Hardware Design and Verification
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,768
Messages
2,569,574
Members
45,051
Latest member
CarleyMcCr

Latest Threads

Top