Branch prediction

G

Guy Montag

Hi!

I'm a student and I'm looking for some info about Branch prediction vhdl
description. Someone could help me?

Thanks
 
V

valentin tihomirov

There are 3 levels of description. "Branch prediction" is an algorithm. At
algorithmic level you should know that there must be a bit-flag accompanying
every branch instruction. At the next (lower) level, you should define the
architecture. Whether it will be a cache mapping instruction addr => flag
(seems very expensive) or you'll accompany each instruction in the
instruction cache with a single bit or something else. Then you'll find many
people in this newsgroup aiding you to describe the schema in VHDL.

;-)
 
G

Guy Montag

Thanks for your answer. I need exactly a Branch prediction algorithm,
have you some links which I could refer to?

valentin tihomirov ha scritto:
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Similar Threads


Members online

Forum statistics

Threads
473,744
Messages
2,569,480
Members
44,900
Latest member
Nell636132

Latest Threads

Top