c(0) <= a(0) + a(1); Found 0 definitions for operator "+"

Joined
Oct 2, 2009
Messages
19
Reaction score
0
I declared the library as such:
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

and I want to perform the following operation

Code:
...
port (c : inout std_logic_vector (5 down to 0);
...
signal a : std_logic_vector (7 downto 0);
...
c(0) <= (a(0) + a(1)); c(1) <= (a(2)+a(3)); c(2) <= (a(4) + a(5)); c(3) <= (a(6) + a(7));
...

I was trying to check behavioural syntax (for simulation) and the error I got was "Found 0 definitions for operator "+"". I've read from other sites that ieee.numeric.std cannot coexist with either ieee.std.logic.arith or ieee.std.logic.unsigned, so that should narrow the problem down a lot more. If I'm not wrong, both c and a are unsigned, so technically they should up.

Unless I am misintepreting std_logic_vector as easily producing different values of c and a. Any help/tips?
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top