Cadence compiler basics

P

PC

Hi all,

I have a very very basic problem with the cadence VHDL compiler
For example

signal test : std_logic_vector( 15 downto 0);
begin

test<="1111111111111111" ; works fine
test<=x"ffff"; gives an error expecting an expression of type
STD_LOGIC_VECTOR 87[8.3] 93[8.4] why ?

thanks in advance
PC
 
H

HT-Lab

PC said:
Hi all,

I have a very very basic problem with the cadence VHDL compiler
For example

signal test : std_logic_vector( 15 downto 0);
begin

test<="1111111111111111" ; works fine
test<=x"ffff"; gives an error expecting an expression of type
STD_LOGIC_VECTOR 87[8.3] 93[8.4] why ?

The code is fine, try compiling with the VHDL93 standard,

Hans
www.ht-lab.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,009
Latest member
GidgetGamb

Latest Threads

Top