can any one help me in VHDL codes plz

M

majmoat_ensan

hi all,

really i want a help in these 2 problems :

1)
I want a structural VHDL code of 1 to 16 Demultiplexers. with an
active low Enable signal using 1 to 2 Demultiplexer. [ use Generate
statement]

__________________________________________________ ____________
2)
Also I need a structure and behavior VHDL code of 5-bits binary
counter with a synchronous load signal to preset the counter to a
specific initial state. the output of the counter ( Q0 to Q4) are
connected to a binary decoder that shows the state of the counter.
 
Joined
Jan 30, 2009
Messages
42
Reaction score
0
Help with Code

You don't want help, you want someone to do your assignment for you. If you won't even make an effort to do the work on your own, it is unlikely that anyone will be inclined to help you. More importantly, you will learn little or nothing about VHDL.
 
T

Tricky

Wheres the problem? Looks like a set of exercises you havent started
yet.

Or is the problem you're too lazy to do it yourself?
 
K

KJ

hi all,

really i want a help in these 2 problems :

1)
I want a structural VHDL code of 1 to 16 Demultiplexers. with an
active low Enable signal using 1 to 2 Demultiplexer. [ use Generate
statement]

__________________________________________________ ____________
2)
Also I need a structure and behavior VHDL code of 5-bits binary
counter with a synchronous load signal to preset the counter to a
specific initial state. the output of the counter ( Q0 to Q4) are
connected to a binary decoder that shows the state of the counter.

What have you tried so far?
What were the results?
If you're just fishing for homework help, try a different pond where
somebody must be silly enough to bite.

KJ
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,743
Messages
2,569,478
Members
44,899
Latest member
RodneyMcAu

Latest Threads

Top