Can't access user-defined library

J

jackshowme

SW: WebPack 6.2i SP2/ModelSim 5.7g
I use WebPack to create a project and then new a user-defined library,
said amba_lib. There is no problem to synthesis the project. But some
error messages are reported by modelsim when it is launched to
simulate the project. The error messages are
~~
# Model Technology ModelSim XE II vcom 5.7g Compiler 2003.10 Oct 13
2003
# -- Loading package standard
# ** Error: (vcom-19) Failed to access library 'amba_lib' at
"amba_lib".
# No such file or directory. (errno = ENOENT)
# ** Error: myTBW.timesim_vhw(14): Library amba_lib not found.
# ** Error: myTBW.timesim_vhw(15): Unknown identifier: amba_lib
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package textio
# -- Loading package std_logic_textio
# ** Error: myTBW.timesim_vhw(23): VHDL Compiler exiting
# ERROR: e:/Modeltech_xe_starter/win32xoem/vcom failed.
~~

Do anyone tell me what they are and how to fix them? They seem to be
the problem caused by WebPack (the old version has not these errors)
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,007
Latest member
obedient dusk

Latest Threads

Top