ceiling VHDL function

Joined
Apr 26, 2007
Messages
5
Reaction score
0
Hi I am looking for a VHDL Function that gives the ceiling of a real number.
The input to the function must be real number and the output which is the ceiling of the input must be an integer number.

Alternatively
The ceiling function of the IEEE.real_math package returns a real number as a ceiling of the real input number does anyone have a function to convert real into integer.

Thanks
George
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,015
Latest member
AmbrosePal

Latest Threads

Top