A
ALuPin
Hi,
I have a question concerning the following phenomenon:
I have a signal which is registered by the following way:
entity xy is
port (...
DQS : inout std_logic_vector(15 downto 0);
);
end xy;
architecture zy of xy is
signal l_input_cell : std_logic_vector(15 downto 0);
begin
process(Reset, Clk)
begin
if Reset='1' then
l_input_cell <= (others => '0');
elsif rising_edge(Clk) then
l_input_cell <= DQS;
end if;
end process;
end zy;
When I simulated the design (I had changed a different design to my
own
VHDL style) I got different simulation results (functional simulation
Modelsim) with respect to the signal "l_input_cell".
Then I changed "rising_edge(Clk)" back to "Clk'event and Clk='1'" and
I got the same result as in the original design.
So why is there a difference at all?
Does the use of an INOUT port play any role ?
I would appreciate your help.
Kind regards
André
I have a question concerning the following phenomenon:
I have a signal which is registered by the following way:
entity xy is
port (...
DQS : inout std_logic_vector(15 downto 0);
);
end xy;
architecture zy of xy is
signal l_input_cell : std_logic_vector(15 downto 0);
begin
process(Reset, Clk)
begin
if Reset='1' then
l_input_cell <= (others => '0');
elsif rising_edge(Clk) then
l_input_cell <= DQS;
end if;
end process;
end zy;
When I simulated the design (I had changed a different design to my
own
VHDL style) I got different simulation results (functional simulation
Modelsim) with respect to the signal "l_input_cell".
Then I changed "rising_edge(Clk)" back to "Clk'event and Clk='1'" and
I got the same result as in the original design.
So why is there a difference at all?
Does the use of an INOUT port play any role ?
I would appreciate your help.
Kind regards
André