Component selection in ISE

Joined
Jun 5, 2007
Messages
51
Reaction score
0
Hey all,

I want to bind any of the two components (written using VHDL) to the top module and I don't want the other component to be synthesized while synthesizing the top module.

But xilinx ISE synthesize all the components in the top module.

The components are complex than the simple gates considered in example below.

------ code example

entity top
.
.
.

architecture top
..
..
begin

-- gatesel is declared in package

If (gatesel=1) generate
a1: andg port map(....);
end generate;

If (gatesel=2) generate
a1: org port map(....);
end generate;

If (gatesel=3) generate
a1: xorg port map(....);
end generate;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,021
Latest member
AkilahJaim

Latest Threads

Top