Configurable Entity Statement

R

rAinStorms

Hi,
I have an design that for a number of reasons has a top level architecture
that really needs a confurable entity statement to configure the input and
output ports dependant upon product type.

As this is the top level entity I cant very well use generates in the
instantiation of the entity as a component in a higher layer.

I also cannot wrap the top layer easily in a another layer for a number of
reasons.

Any help appreciated.

Cheers,
Chris
 
J

Jonathan Bromley

I have an design that for a number of reasons has a top level architecture
that really needs a confurable entity statement to configure the input and
output ports dependant upon product type.

As this is the top level entity I cant very well use generates in the
instantiation of the entity as a component in a higher layer.

I also cannot wrap the top layer easily in a another layer for a number of
reasons.

Any help appreciated.


I'm really confused. If you need different top levels for different
products, what on earth is the objection to a wrapper?

Anyhow, if you *really must* have a configurable BUILD, which I
think is what you are asking for, then the only way I know of
is to create a package defining your top-level port types, and
re-create that package for each different build. Completely
horrible, but it would work.

Please go back to thinking about a wrapper, one wrapper
per version, so that you can use the proper VHDL machinery
of generics and configurations to do what you need.
The added effort is tiny; it is beyond my imagination to
see what might prevent you from doing it.
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223 mail: (e-mail address removed)
Fax: +44 (0)1425 471573 Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,015
Latest member
AmbrosePal

Latest Threads

Top