convert real to binary in vhdl !!

Joined
Apr 21, 2010
Messages
10
Reaction score
0
Hi !! I want to convert a real to binary . I use 12 bit to convert this real to binary.

The MSB bit was used to sign , interger part used one bit and finally the 10 bit used for fractional part.I want to use fix point .

but i don't how can i create in vhdl this converter.



I will be grateful for you help!

Thank you .

Charko
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,564
Members
45,039
Latest member
CasimiraVa

Latest Threads

Top