Convert text file to std_logic_vector for testbench

Joined
Jan 3, 2010
Messages
1
Reaction score
0
Hi all,

I would like to convet a text file (that contain Hex values) into an array and use it as an input at testbench.

So far I succeed reading the file and writing it to the output window.

My question is, when im reading the text file, how can i save it i manner that i can use it for testbench?

thank's
Tomeriko
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,482
Members
44,901
Latest member
Noble71S45

Latest Threads

Top