Converting std_logic_vector to integer

S

skilambi

I know there have been so many posts on this topic. But for some reason
my code doesnt work and i dont see anything wrong with it. I read
through most posts and i dont seem to be doing any different from whats
being suggested...

I am using th xilinx webpack.... the code is below.. i get an error
saying that i cannot use operands in TO_INTEGER in this context....
I can figure out whats wrong...

Thanks in advance guys,

Sai
-------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.numeric_std.ALL;

entity integer_app is
Port ( input : in unsigned (2 downto 0);
index: out integer);
end integer_app ;

architecture Behavioral of integer_app is
begin
index <= TO_INTEGER(input);
end Behavioral;
 
H

harikris

Hey,

What's the error that you get?
Is it "Undefined symbol 'TO_INTEGER'"?

If so, try replacing TO_INTEGER with CONV_INTEGER.

Look under Where_you_installed_xilinxISE\Xilinx\vhdl\src\ieee or
Where_you_installed_xilinxISE\Xilinx\verilog\src\ieee to see what are
the available functions that you could see.

Make sure that you include the appropraite library - usinged or signed
or whatever in your source file

eg: use IEEE.STD_LOGIC_UNSIGNED.ALL;

good luck.

-vj
 
R

Ralf Hildebrandt

I am using th xilinx webpack.... the code is below.. i get an error
saying that i cannot use operands in TO_INTEGER in this context....
I can figure out whats wrong...
-------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.numeric_std.ALL;

What the hell...?

Reduce it to
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;

Don't use the other two libraries. These are not standard libraries. And
don't use them together with numeric_std.


Ralf
 
D

David Binnie

This works:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;


entity integer_app is
Port ( input : in unsigned (2 downto 0);
index: out integer);
end integer_app ;

architecture Behavioral of integer_app is
begin

index <= CONV_INTEGER(input);

end Behavioral;
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,901
Latest member
Noble71S45

Latest Threads

Top