Convolutional Encoder VHDL

Joined
Dec 12, 2011
Messages
1
Reaction score
0
Dear

I'm working on an academic project ( DVB-T simulation ) using Matlab, i don't have a solide knowledge on VHDL, so i wanted to Know if there is some one how has already developed a Punctured Convolutional Encoder using VHDL, because i really need it for implementation on FPGA.

Best wishes
David Spielberg
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,010
Latest member
MerrillEic

Latest Threads

Top