Design Configuration

D

Divyang M

Hi,

I have created three buffers components (bufferA, bufferB, bufferC)of
varying lengths and instantiate bufferA inside MyEntity. Now, I want
to create another instance of MyEntity but use bufferB instead of
bufferA.

Is there a way that I can use some configuration script in the VHDL
file to let it know which buffer to instantiate? (FYI - I am using
ModelSim 5.7f for simulation and QuartusII for synthesis and P&R and
would like the configuration to be compatible with both these).

I know the easiest way is just to create three separate entities but
I'm trying to learn more here :)

Thanks,
Divyang M
 
R

Ralf Hildebrandt

Divyang M wrote:

I have created three buffers components (bufferA, bufferB, bufferC)of
varying lengths and instantiate bufferA inside MyEntity. Now, I want
to create another instance of MyEntity but use bufferB instead of
bufferA.

Is there a way that I can use some configuration script in the VHDL
file to let it know which buffer to instantiate?

What about a generic parameter and using the if-generate statement?

If bufferA and bufferB have the same entity, you may also use one entity
and two architectures, while a VHDL configuration specifies which one
has to be chosen.

Ralf
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top