Does Modelsim work under Windows Vista?

H

Helpme

Xilinx's ISE 9.2 now supports Windows Vista (32-bit only.)

Do other tools like (Mentor Modelsim and Xilinx XPS/EDK) run under Windows
Vista?
 
J

John Retta

I bought a laptop with Microsoft Vista Home,
installed Modelsim PE 6.3a , and ran without
problem.

--
Regards,
John Retta
Owner and Designer
Retta Technical Consulting Inc.

email : (e-mail address removed)
web : www.rtc-inc.com
 
P

prince

I bought a laptop with Microsoft Vista Home,
installed Modelsim PE 6.3a , and ran without
problem.
In your experience would you recommend running EDA Tools under Vista?
Or would you recommend XP/Linux?
Regards
Prince
 
P

Paul Floyd

["Followup-To:" header set to comp.lang.vhdl.]
In your experience would you recommend running EDA Tools under Vista?
Or would you recommend XP/Linux?

Personally, my order of preference is Solaris, Linux, HP-UX, Windows.

You can't have it all. Solaris SPARC has poor price/performance these
days. Solaris x86/64 isn't very well supported. Linux, well, google for
'OOM kiler' and decide for yourself if you prefer unconditional
stability or a bit of speed. Does anyone use Windows for serious
simulation? (I ask that as a developer that's worked on field-solver
based parasitic extraction and mixed signal simulation).

A bientot
Paul
(Not speaking for Mentor Graphics)
 
J

John Retta

From an engineering point of view having tools which run
under Linux is preferred. I am a command line, shell person ...
not a Windows drag-drop ... double click kind of user.

However, two factors have been influential. It has been only
in the last two years (I think this is correct), that the Xilinx tool
set have run natively under Linux, and the price difference between
Modelsim PE vs LE was almost 3:1 when I had it quoted a
few years ago. Kicker was that there was no performance
increase in LE .... just the ability to run under Linux.

Anyway, as a one person show, keeping tool cost low
is a premium.

Anyway, I bought a laptop 4 weeks ago ... it had Vista
Home edition on it ... and I thought I would give it a try.
Keep installing EDA tools, and utilities until I found a show stopper.
Well I surprisingly did not. Modelsim PE and webpack, as well
as Quartus ran without problem. In terms of performance
improvement of XP vs Vista, hard to tell since I did not
benchmark same hw with different OS, but I suspect there
is none
--
Regards,
John Retta
Owner and Designer
Retta Technical Consulting Inc.
Colorado Based Xilinx Consultant

email : (e-mail address removed)
web : www.rtc-inc.com


Paul Floyd said:
["Followup-To:" header set to comp.lang.vhdl.]
In your experience would you recommend running EDA Tools under Vista?
Or would you recommend XP/Linux?

Personally, my order of preference is Solaris, Linux, HP-UX, Windows.

You can't have it all. Solaris SPARC has poor price/performance these
days. Solaris x86/64 isn't very well supported. Linux, well, google for
'OOM kiler' and decide for yourself if you prefer unconditional
stability or a bit of speed. Does anyone use Windows for serious
simulation? (I ask that as a developer that's worked on field-solver
based parasitic extraction and mixed signal simulation).

A bientot
Paul
(Not speaking for Mentor Graphics)
 
K

Kai Harrekilde-Petersen

John Retta said:
From an engineering point of view having tools which run
under Linux is preferred. I am a command line, shell person ...
not a Windows drag-drop ... double click kind of user.

However, two factors have been influential. It has been only
in the last two years (I think this is correct), that the Xilinx tool
set have run natively under Linux, and the price difference between
Modelsim PE vs LE was almost 3:1 when I had it quoted a
few years ago. Kicker was that there was no performance
increase in LE .... just the ability to run under Linux.

I was quoted a 1:2.75 ratio between PE and SE last year - SE is
available under both Linux and XP.

However, the SE version includes a number of options that must be
licensed separately with PE.


Kai
 
M

Mike Treseler

John said:
It has been only
in the last two years (I think this is correct), that the Xilinx tool
set have run natively under Linux, and the price difference between
Modelsim PE vs LE was almost 3:1 when I had it quoted a
few years ago. Kicker was that there was no performance
increase in LE .... just the ability to run under Linux.

For what it's worth,
I have found that running SE on Linux
is significantly faster than using the
same SE license for a windows session on the
same machine.

-- Mike Treseler
 
A

Allan Herriman

For what it's worth,
I have found that running SE on Linux
is significantly faster than using the
same SE license for a windows session on the
same machine.

Hi Mike,

Would you care to speculate why?

Thanks,
Allan
 
M

Mike Treseler

Allan said:
Hi Mike,

Would you care to speculate why?

Pure speculation:
Perhaps because modelsim was first developed
on unix systems and so has tighter memory interfaces
on linux than on a windows port.

-- Mike Treseler
 
H

HT-Lab

Mike Treseler said:
John Retta wrote:

For what it's worth,
I have found that running SE on Linux
is significantly faster than using the
same SE license for a windows session on the
same machine.

-- Mike Treseler

I can confirm Mike's finding, I have done the same test and found that SE
under Linux (64bits Gentoo) was about 5% faster than SE under Win2K. I used
my AES core as a testcase. As usual YMMV and "lies, damn lies and
benchmarks" applies. What is definitely true is that vcom is much faster on
Linux than on Windows which I believe is due to the caching filesystem on
Linux whereas Windows uses write-through?

Hans
www.ht-lab.com
 
K

Kai Harrekilde-Petersen

Mike Treseler said:
Pure speculation:
Perhaps because modelsim was first developed
on unix systems and so has tighter memory interfaces
on linux than on a windows port.

Are you sure that modelsim was first developed on unix? - I recall
Modelsim for Windows 3.11 fitting on a single 1.44MB floppy back in
around '92.

Kai
 
H

HT-Lab

Kai Harrekilde-Petersen said:
Are you sure that modelsim was first developed on unix? - I recall
Modelsim for Windows 3.11 fitting on a single 1.44MB floppy back in
around '92.

The first (VHDL only) version was developed on DOS and written by two very
clever chaps in the US, one was Bob Hunter and the other was Walter Vines.

Hans
www.ht-lab.com
 
Joined
Oct 2, 2007
Messages
8
Reaction score
0
Hi friends

I have a question

I want to do the next:


library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_SIGNED.ALL;



entity multiplicador is

Port ( a : in STD_LOGIC_VECTOR (15 downto 0);

b : in STD_LOGIC_VECTOR (15 downto 0);

clk : in STD_LOGIC;

sal : out STD_LOGIC_VECTOR (15 downto 0));

end multiplicador;



architecture Behavioral of multiplicador is

signal tmp : std_logic_vector (31 downto 0);

begin



process (clk, a, b)

begin

if clk= '1' and clk'event then

tmp <= a*b;

end if;

end process;



sal<= tmp(23 downto 8); --here is the problem

end Behavioral;




How you see I want to assign only a portion of the signal tmp to sal, but the software I'm using doesn't sinthesize it (I'm using Xilinx ISE 8.1i) so I want to know another way to do this. Any suggestions to ZOLVEZ@hotmai......
thanks
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,013
Latest member
KatriceSwa

Latest Threads

Top