Does VHDL cares for R, L, C components?

V

Vagant

Hello,

I wonder whether VHDL allows description of R, L, C components (which
stand for resistance, inductance and capacity) in the projects.
Obviosly some digital schematics use R, L, C components for waveform
generation, transformation.
There are also digital resistance chips which are helpful in
programming a resistance which changes in steps.
 
K

KJ

Vagant said:
Hello,

I wonder whether VHDL allows description of R, L, C components (which
stand for resistance, inductance and capacity) in the projects.
Obviosly some digital schematics use R, L, C components for waveform
generation, transformation.
There are also digital resistance chips which are helpful in
programming a resistance which changes in steps.
If you're looking for a 'digital' type of model (i.e. all I/O signals can be
represented by std_logic type) then yes plain vanilla VHDL can be used to
model anything including resistors, capacitors and inductors, etc.

If you're looking to model true analog type of behaviour then you would
probably better off using Spice but, depending on your needs, VHDL-AMS might
do want you want as well. http://www.eda.org/vhdl-ams/

KJ
 
A

Andy

Hello,

I wonder whether VHDL allows description of R, L, C components (which
stand for resistance, inductance and capacity) in the projects.
Obviosly some digital schematics use R, L, C components for waveform
generation, transformation.
There are also digital resistance chips which are helpful in
programming a resistance which changes in steps.

Digitally, a capacitor is usually modeled as an open circuit, with
both ports either input or driving 'Z'.

An inductor is usually modeled as a wire (good luck with that), but it
rarely matters if it is an open circuit in a digital simulation.

A resistor is usually modeled as a bidirectional strength reducer ('1'
-> 'H' -> 'Z', etc.) so that pullups/terminators work as expected in
the digital simulation.

Beyond that, there's VHDL-AMS, but that's a whole different ballgame.

Andy
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,483
Members
44,902
Latest member
Elena68X5

Latest Threads

Top