DOWNTO versus TO keyword on Component instantiation

P

pierre0102

Hello,

Does anyone know about the following error in Modelsim ?

** Error: xxx.vhd(2435): (vcom-1012) Slice range direction (to) does
not match slice prefix dire
ction (downto).

The slice range direction on the concerned port are identical on the
entity and on the component declaration (DOWNTO keyword) but I cant
use slice range direction (TO) in the assigned signal.
If I set TO every where is does not work better. The only solution is
using "downto" everywhere...

Is it a VHDL restriction ?

Thanks..
 
Joined
Mar 10, 2008
Messages
348
Reaction score
0
Well be sure that these rules followed:

Highnumber DOWNTO Lownumber like: 10 DOWNTO 0

Lownumber TO Highnumber like: 0 TO 10

Jeppe
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top