driving bidirectional std_ulogic_vector

Joined
Nov 9, 2006
Messages
1
Reaction score
0
Hi,

I am new to VHDL.
I am verifiying a DUT which has a inout data signal of type std_ulogic_vector. In the Test bench I have port mapped it to a data_tb
signal of the same type. But when I try to assign values to the data_tb signal
I get an error "Nonresolved signal data_tb has multiple sources.

The signal is declared as a inout during component instantiation.
I cannot change the type of the signal to std_logic_vector since the DUT is
using std_ulogic_vector.

can anyone pls help?
Thanks,
Deepa
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,021
Latest member
AkilahJaim

Latest Threads

Top