Driving INOUT ports

M

Mike Nicklas

Hi everyone

I'm trying to simulate a design using a testbench tool called HDL
bencher which is integrated with Xilinx ISE.

The problem i have is that when i set the value of the INOUT signal in
my design, the testbench does not appear to assert it as desired and the
port stays at value zero.

Has anyone else had any similar problems with HDL bencher and ISE?

Or does anyone have any recommendations / tips for working with INOUT
signals in designs?

Thanks in advance

Mike Nicklas
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top