EOF error.

J

Julien F.

Hello,

I can't get rid of that error message: near EOF: syntax error. The
code is quite simple:

library ieee;
library std;
use ieee.std_logic_1164.all;

Entity MUX2_1 IS
PORT(i0: IN std_logic;
i1: IN std_logic;
ctr : IN std_logic;
q : OUT std_logic);
END MUX2_1;


Thank you
 
B

backhus

Hello,

I can't get rid of that error message: near EOF: syntax error. The
code is quite simple:

library ieee;
library std;
use ieee.std_logic_1164.all;

Entity MUX2_1 IS
    PORT(i0: IN std_logic;
         i1: IN std_logic;
         ctr : IN std_logic;
         q : OUT std_logic);
END MUX2_1;

Thank you

Hi Julien,
sometimes it's just a missing newline at the end of the last line.
Just a guess.

Have a nice synthesis
Eilert
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,755
Messages
2,569,537
Members
45,022
Latest member
MaybelleMa

Latest Threads

Top