Error: VHDL Use Clause error at quartustest.vhd(6): design library "IEEE" does not contain primary u

F

feiyang

use quartus 5.0
when compile a project, give this messege:
Error: VHDL Use Clause error at quartustest.vhd(6): design library "IEEE"
does not contain primary unit "std_logic_textio"
how can i solve?
 
A

Ajeetha

TEXTIO is intended for simulation alone, not for synthesis, since
Quartus is a synthesizer it doesn't like it. Why do you have that in
your RTL file? Or may be you are passing your tetsbench file to
Quartus?

Regards
Ajeetha, CVC
www.noveldv.com
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,756
Messages
2,569,533
Members
45,007
Latest member
OrderFitnessKetoCapsules

Latest Threads

Top