Failure: (vsim-3807) Types do not match between component and entity for port "out1".

Joined
Dec 3, 2011
Messages
3
Reaction score
0
Hi, i got Failure: (vsim-3807) Types do not match between component and entity for port "out1". I got also failure for port out2 and so on.

When i check component in haar_tb.vhd : testbench file is same with entity in haar.vhd. Can I know what the solution for this problem.
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
you'll have to post the relevant code for anyone to be able to help.
Double check inputs and outputs, as well as setting correct 'generic' values
 
Joined
Dec 3, 2011
Messages
3
Reaction score
0
Before that,i'm a beginner in vhdl code & fpga (altera), i want to ask question
my program:compilation and RTL simulation is success,but gate level simulation is not success, Is it effect when running the program in fpga?

For your information,RTL and gate level simulation in model sim
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

Forum statistics

Threads
473,755
Messages
2,569,536
Members
45,020
Latest member
GenesisGai

Latest Threads

Top