FF/Latch <idex_signimm_8> (without init value) has a constant value of 0 in block

Joined
May 24, 2011
Messages
2
Reaction score
0
HTE WARINGS like these:
WARNING:Xst:1710 - FF/Latch <idex_signimm_8> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_7> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_6> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_5> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_4> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_3> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_2> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_1> (without init value) has a constant value of 0 in block <mips/stage_ex>.
WARNING:Xst:1710 - FF/Latch <idex_signimm_0> (without init value) has a constant value of 0 in block <mips/stage_ex>.


could someone tell what's the matter of them?
 
Joined
Jan 29, 2009
Messages
152
Reaction score
0
I think it's simply saying that the synthesizer found out that, that particular variable/signal idex_signimm, the mentioned bits (8-0) can only have a value of 0 because of the code.
If this is truly unexpected there may be a bug in your code.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,764
Messages
2,569,565
Members
45,041
Latest member
RomeoFarnh

Latest Threads

Top