File I/O problem. VHDL

Joined
Aug 19, 2008
Messages
10
Reaction score
0
I am trying to write information to a text file in VHDL. Here is my code



type frame_data is array (31 downto 0) of std_logic_vector(31 downto 0);



type my_file is file of frame_data;
file load_file : my_file is out "DSP_Output.txt";

variable trace_line : line;



write ( trace_line,string'("test"));
writeline (load_file,trace_line);


I recieve the following error when i check syntax:



writeline can not have such operands in this context.
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,744
Messages
2,569,484
Members
44,903
Latest member
orderPeak8CBDGummies

Latest Threads

Top